[CMAKE]
authorAmine Khaldi <amine.khaldi@reactos.org>
Sat, 4 Sep 2010 13:16:29 +0000 (13:16 +0000)
committerAmine Khaldi <amine.khaldi@reactos.org>
Sat, 4 Sep 2010 13:16:29 +0000 (13:16 +0000)
- Add buslogic to build.
- Fix a typo.

svn path=/branches/cmake-bringup/; revision=48694

drivers/bus/acpi/CMakeLists.txt
drivers/storage/CMakeLists.txt
drivers/storage/port/CMakeLists.txt [new file with mode: 0644]
drivers/storage/port/buslogic/CMakeLists.txt [new file with mode: 0644]
drivers/storage/scsiport/libscsiport.a [new file with mode: 0644]

index b267843..84f4d07 100644 (file)
@@ -124,8 +124,6 @@ add_library(acpica
 
 set_target_properties(acpica PROPERTIES COMPILE_DEFINITIONS "ACPI_USE_LOCAL_CACHE")
 
 
 set_target_properties(acpica PROPERTIES COMPILE_DEFINITIONS "ACPI_USE_LOCAL_CACHE")
 
-spec2def(acpi ${CMAKE_CURRENT_SOURCE_DIR}/acpi.spec ${CMAKE_CURRENT_BINARY_DIR}/acpi.def)
-
 add_library(acpi SHARED
     busmgr/bus.c
     busmgr/button.c
 add_library(acpi SHARED
     busmgr/bus.c
     busmgr/button.c
index b00750b..624ddd5 100644 (file)
@@ -1,3 +1,4 @@
 
 add_subdirectory(ide)
 
 add_subdirectory(ide)
+add_subdirectory(port)
 add_subdirectory(scsiport)
 add_subdirectory(scsiport)
diff --git a/drivers/storage/port/CMakeLists.txt b/drivers/storage/port/CMakeLists.txt
new file mode 100644 (file)
index 0000000..dd3cc48
--- /dev/null
@@ -0,0 +1,2 @@
+
+add_subdirectory(buslogic)
diff --git a/drivers/storage/port/buslogic/CMakeLists.txt b/drivers/storage/port/buslogic/CMakeLists.txt
new file mode 100644 (file)
index 0000000..1897cf6
--- /dev/null
@@ -0,0 +1,12 @@
+
+set(CMAKE_C_CREATE_SHARED_LIBRARY "<CMAKE_C_COMPILER> <CMAKE_SHARED_LIBRARY_C_FLAGS> <LINK_FLAGS> <CMAKE_SHARED_LIBRARY_CREATE_C_FLAGS> -o <TARGET> <OBJECTS> <LINK_LIBRARIES>")
+
+add_library(buslogic SHARED BusLogic958.c BusLogic958.rc)
+
+set_target_properties(buslogic PROPERTIES LINK_FLAGS "-Wl,-entry,_DriverEntry@8 -Wl,--image-base,0x00010000 -Wl,--exclude-all-symbols -Wl,--subsystem,native" SUFFIX ".sys")
+
+target_link_libraries(buslogic
+    ${REACTOS_SOURCE_DIR}/drivers/storage/scsiport/libscsiport.a 
+    libcntpr)
+
+add_dependencies(buslogic psdk bugcodes)
diff --git a/drivers/storage/scsiport/libscsiport.a b/drivers/storage/scsiport/libscsiport.a
new file mode 100644 (file)
index 0000000..d9d5316
Binary files /dev/null and b/drivers/storage/scsiport/libscsiport.a differ