From c122f61bfc08a50210438349d66e6c6dc86af63b Mon Sep 17 00:00:00 2001 From: Royce Mitchell III Date: Fri, 25 Mar 2005 17:08:30 +0000 Subject: [PATCH] reorder GenerateRules() to get rid of unnecessary if() svn path=/branches/xmlbuildsystem/; revision=14315 --- .../rbuild/backend/mingw/modulehandler.cpp | 17 +++++++---------- 1 file changed, 7 insertions(+), 10 deletions(-) diff --git a/reactos/tools/rbuild/backend/mingw/modulehandler.cpp b/reactos/tools/rbuild/backend/mingw/modulehandler.cpp index 3954f6fcaed..d2012f4cf41 100644 --- a/reactos/tools/rbuild/backend/mingw/modulehandler.cpp +++ b/reactos/tools/rbuild/backend/mingw/modulehandler.cpp @@ -1281,23 +1281,20 @@ MingwModuleHandler::GenerateRules () module.name.c_str (), GetTargetMacro ( module ).c_str () ); - string ar_target; - if ( module.type != ObjectLibrary ) - ar_target = GenerateArchiveTarget ( ar, objectsMacro ); - - GenerateObjectFileTargets ( cc, - cppc, - cflagsMacro, - nasmflagsMacro, - windresflagsMacro ); - if ( module.type != ObjectLibrary ) { + string ar_target ( GenerateArchiveTarget ( ar, objectsMacro ) ); if ( targetMacro != ar_target ) { CLEAN_FILE ( ar_target ); } } + + GenerateObjectFileTargets ( cc, + cppc, + cflagsMacro, + nasmflagsMacro, + windresflagsMacro ); } void -- 2.17.1