[NTVDM]: Code "formatting" + fix types.
[reactos.git] / subsystems / ntvdm / vga.c
index 9d04d18..10da776 100644 (file)
 static CONST DWORD MemoryBase[]  = { 0xA0000, 0xA0000, 0xB0000, 0xB8000 };
 static CONST DWORD MemoryLimit[] = { 0xAFFFF, 0xAFFFF, 0xB7FFF, 0xBFFFF };
 
-static CONST COLORREF VgaDefaultPalette[VGA_MAX_COLORS] = 
+#define USE_REACTOS_COLORS
+// #define USE_DOSBOX_COLORS
+
+#if defined(USE_REACTOS_COLORS)
+
+// ReactOS colors
+static CONST COLORREF VgaDefaultPalette[VGA_MAX_COLORS] =
 {
     RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0xAA), RGB(0x00, 0xAA, 0x00), RGB(0x00, 0xAA, 0xAA),
     RGB(0xAA, 0x00, 0x00), RGB(0xAA, 0x00, 0xAA), RGB(0xAA, 0x55, 0x00), RGB(0xAA, 0xAA, 0xAA),
@@ -89,6 +95,82 @@ static CONST COLORREF VgaDefaultPalette[VGA_MAX_COLORS] =
     RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00)
 };
 
+#elif defined(USE_DOSBOX_COLORS)
+
+// DOSBox colors
+static CONST COLORREF VgaDefaultPalette[VGA_MAX_COLORS] =
+{
+    RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0xAA), RGB(0x00, 0xAA, 0x00), RGB(0x00, 0xAA, 0xAA),
+    RGB(0xAA, 0x00, 0x00), RGB(0xAA, 0x00, 0xAA), RGB(0xAA, 0x55, 0x00), RGB(0xAA, 0xAA, 0xAA),
+    RGB(0x55, 0x55, 0x55), RGB(0x55, 0x55, 0xFF), RGB(0x55, 0xFF, 0x55), RGB(0x55, 0xFF, 0xFF),
+    RGB(0xFF, 0x55, 0x55), RGB(0xFF, 0x55, 0xFF), RGB(0xFF, 0xFF, 0x55), RGB(0xFF, 0xFF, 0xFF),
+    RGB(0x00, 0x00, 0x00), RGB(0x14, 0x14, 0x14), RGB(0x20, 0x20, 0x20), RGB(0x2C, 0x2C, 0x2C),
+    RGB(0x38, 0x38, 0x38), RGB(0x45, 0x45, 0x45), RGB(0x51, 0x51, 0x51), RGB(0x61, 0x61, 0x61),
+    RGB(0x71, 0x71, 0x71), RGB(0x82, 0x82, 0x82), RGB(0x92, 0x92, 0x92), RGB(0xA2, 0xA2, 0xA2),
+    RGB(0xB6, 0xB6, 0xB6), RGB(0xCB, 0xCB, 0xCB), RGB(0xE3, 0xE3, 0xE3), RGB(0xFF, 0xFF, 0xFF),
+    RGB(0x00, 0x00, 0xFF), RGB(0x41, 0x00, 0xFF), RGB(0x7D, 0x00, 0xFF), RGB(0xBE, 0x00, 0xFF),
+    RGB(0xFF, 0x00, 0xFF), RGB(0xFF, 0x00, 0xBE), RGB(0xFF, 0x00, 0x7D), RGB(0xFF, 0x00, 0x41),
+    RGB(0xFF, 0x00, 0x00), RGB(0xFF, 0x41, 0x00), RGB(0xFF, 0x7D, 0x00), RGB(0xFF, 0xBE, 0x00),
+    RGB(0xFF, 0xFF, 0x00), RGB(0xBE, 0xFF, 0x00), RGB(0x7D, 0xFF, 0x00), RGB(0x41, 0xFF, 0x00),
+    RGB(0x00, 0xFF, 0x00), RGB(0x00, 0xFF, 0x41), RGB(0x00, 0xFF, 0x7D), RGB(0x00, 0xFF, 0xBE),
+    RGB(0x00, 0xFF, 0xFF), RGB(0x00, 0xBE, 0xFF), RGB(0x00, 0x7D, 0xFF), RGB(0x00, 0x41, 0xFF),
+    RGB(0x7D, 0x7D, 0xFF), RGB(0x9E, 0x7D, 0xFF), RGB(0xBE, 0x7D, 0xFF), RGB(0xDF, 0x7D, 0xFF),
+    RGB(0xFF, 0x7D, 0xFF), RGB(0xFF, 0x7D, 0xDF), RGB(0xFF, 0x7D, 0xBE), RGB(0xFF, 0x7D, 0x9E),
+
+    RGB(0xFF, 0x7D, 0x7D), RGB(0xFF, 0x9E, 0x7D), RGB(0xFF, 0xBE, 0x7D), RGB(0xFF, 0xDF, 0x7D),
+    RGB(0xFF, 0xFF, 0x7D), RGB(0xDF, 0xFF, 0x7D), RGB(0xBE, 0xFF, 0x7D), RGB(0x9E, 0xFF, 0x7D),
+    RGB(0x7D, 0xFF, 0x7D), RGB(0x7D, 0xFF, 0x9E), RGB(0x7D, 0xFF, 0xBE), RGB(0x7D, 0xFF, 0xDF),
+    RGB(0x7D, 0xFF, 0xFF), RGB(0x7D, 0xDF, 0xFF), RGB(0x7D, 0xBE, 0xFF), RGB(0x7D, 0x9E, 0xFF),
+    RGB(0xB6, 0xB6, 0xFF), RGB(0xC7, 0xB6, 0xFF), RGB(0xDB, 0xB6, 0xFF), RGB(0xEB, 0xB6, 0xFF),
+    RGB(0xFF, 0xB6, 0xFF), RGB(0xFF, 0xB6, 0xEB), RGB(0xFF, 0xB6, 0xDB), RGB(0xFF, 0xB6, 0xC7),
+    RGB(0xFF, 0xB6, 0xB6), RGB(0xFF, 0xC7, 0xB6), RGB(0xFF, 0xDB, 0xB6), RGB(0xFF, 0xEB, 0xB6),
+    RGB(0xFF, 0xFF, 0xB6), RGB(0xEB, 0xFF, 0xB6), RGB(0xDB, 0xFF, 0xB6), RGB(0xC7, 0xFF, 0xB6),
+    RGB(0xB6, 0xFF, 0xB6), RGB(0xB6, 0xFF, 0xC7), RGB(0xB6, 0xFF, 0xDB), RGB(0xB6, 0xFF, 0xEB),
+    RGB(0xB6, 0xFF, 0xFF), RGB(0xB6, 0xEB, 0xFF), RGB(0xB6, 0xDB, 0xFF), RGB(0xB6, 0xC7, 0xFF),
+    RGB(0x00, 0x00, 0x71), RGB(0x1C, 0x00, 0x71), RGB(0x38, 0x00, 0x71), RGB(0x55, 0x00, 0x71),
+    RGB(0x71, 0x00, 0x71), RGB(0x71, 0x00, 0x55), RGB(0x71, 0x00, 0x38), RGB(0x71, 0x00, 0x1C),
+    RGB(0x71, 0x00, 0x00), RGB(0x71, 0x1C, 0x00), RGB(0x71, 0x38, 0x00), RGB(0x71, 0x55, 0x00),
+    RGB(0x71, 0x71, 0x00), RGB(0x55, 0x71, 0x00), RGB(0x38, 0x71, 0x00), RGB(0x1C, 0x71, 0x00),
+    RGB(0x00, 0x71, 0x00), RGB(0x00, 0x71, 0x1C), RGB(0x00, 0x71, 0x38), RGB(0x00, 0x71, 0x55),
+    RGB(0x00, 0x71, 0x71), RGB(0x00, 0x55, 0x71), RGB(0x00, 0x38, 0x71), RGB(0x00, 0x1C, 0x71),
+
+    RGB(0x38, 0x38, 0x71), RGB(0x45, 0x38, 0x71), RGB(0x55, 0x38, 0x71), RGB(0x61, 0x38, 0x71),
+    RGB(0x71, 0x38, 0x71), RGB(0x71, 0x38, 0x61), RGB(0x71, 0x38, 0x55), RGB(0x71, 0x38, 0x45),
+    RGB(0x71, 0x38, 0x38), RGB(0x71, 0x45, 0x38), RGB(0x71, 0x55, 0x38), RGB(0x71, 0x61, 0x38),
+    RGB(0x71, 0x71, 0x38), RGB(0x61, 0x71, 0x38), RGB(0x55, 0x71, 0x38), RGB(0x45, 0x71, 0x38),
+    RGB(0x38, 0x71, 0x38), RGB(0x38, 0x71, 0x45), RGB(0x38, 0x71, 0x55), RGB(0x38, 0x71, 0x61),
+    RGB(0x38, 0x71, 0x71), RGB(0x38, 0x61, 0x71), RGB(0x38, 0x55, 0x71), RGB(0x38, 0x45, 0x71),
+    RGB(0x51, 0x51, 0x71), RGB(0x59, 0x51, 0x71), RGB(0x61, 0x51, 0x71), RGB(0x69, 0x51, 0x71),
+    RGB(0x71, 0x51, 0x71), RGB(0x71, 0x51, 0x69), RGB(0x71, 0x51, 0x61), RGB(0x71, 0x51, 0x59),
+    RGB(0x71, 0x51, 0x51), RGB(0x71, 0x59, 0x51), RGB(0x71, 0x61, 0x51), RGB(0x71, 0x69, 0x51),
+    RGB(0x71, 0x71, 0x51), RGB(0x69, 0x71, 0x51), RGB(0x61, 0x71, 0x51), RGB(0x59, 0x71, 0x51),
+    RGB(0x51, 0x71, 0x51), RGB(0x51, 0x71, 0x59), RGB(0x51, 0x71, 0x61), RGB(0x51, 0x71, 0x69),
+    RGB(0x51, 0x71, 0x71), RGB(0x51, 0x69, 0x71), RGB(0x51, 0x61, 0x71), RGB(0x51, 0x59, 0x71),
+    RGB(0x00, 0x00, 0x41), RGB(0x10, 0x00, 0x41), RGB(0x20, 0x00, 0x41), RGB(0x30, 0x00, 0x41),
+    RGB(0x41, 0x00, 0x41), RGB(0x41, 0x00, 0x30), RGB(0x41, 0x00, 0x20), RGB(0x41, 0x00, 0x10),
+    RGB(0x41, 0x00, 0x00), RGB(0x41, 0x10, 0x00), RGB(0x41, 0x20, 0x00), RGB(0x41, 0x30, 0x00),
+    RGB(0x41, 0x41, 0x00), RGB(0x30, 0x41, 0x00), RGB(0x20, 0x41, 0x00), RGB(0x10, 0x41, 0x00),
+
+    RGB(0x00, 0x41, 0x00), RGB(0x00, 0x41, 0x10), RGB(0x00, 0x41, 0x20), RGB(0x00, 0x41, 0x30),
+    RGB(0x00, 0x41, 0x41), RGB(0x00, 0x30, 0x41), RGB(0x00, 0x20, 0x41), RGB(0x00, 0x10, 0x41),
+    RGB(0x20, 0x20, 0x41), RGB(0x28, 0x20, 0x41), RGB(0x30, 0x20, 0x41), RGB(0x38, 0x20, 0x41),
+    RGB(0x41, 0x20, 0x41), RGB(0x41, 0x20, 0x38), RGB(0x41, 0x20, 0x30), RGB(0x41, 0x20, 0x28),
+    RGB(0x41, 0x20, 0x20), RGB(0x41, 0x28, 0x20), RGB(0x41, 0x30, 0x20), RGB(0x41, 0x38, 0x20),
+    RGB(0x41, 0x41, 0x20), RGB(0x38, 0x41, 0x20), RGB(0x30, 0x41, 0x20), RGB(0x28, 0x41, 0x20),
+    RGB(0x20, 0x41, 0x20), RGB(0x20, 0x41, 0x28), RGB(0x20, 0x41, 0x30), RGB(0x20, 0x41, 0x38),
+    RGB(0x20, 0x41, 0x41), RGB(0x20, 0x38, 0x41), RGB(0x20, 0x30, 0x41), RGB(0x20, 0x28, 0x41),
+    RGB(0x2C, 0x2C, 0x41), RGB(0x30, 0x2C, 0x41), RGB(0x34, 0x2C, 0x41), RGB(0x3C, 0x2C, 0x41),
+    RGB(0x41, 0x2C, 0x41), RGB(0x41, 0x2C, 0x3C), RGB(0x41, 0x2C, 0x34), RGB(0x41, 0x2C, 0x30),
+    RGB(0x41, 0x2C, 0x2C), RGB(0x41, 0x30, 0x2C), RGB(0x41, 0x34, 0x2C), RGB(0x41, 0x3C, 0x2C),
+    RGB(0x41, 0x41, 0x2C), RGB(0x3C, 0x41, 0x2C), RGB(0x34, 0x41, 0x2C), RGB(0x30, 0x41, 0x2C),
+    RGB(0x2C, 0x41, 0x2C), RGB(0x2C, 0x41, 0x30), RGB(0x2C, 0x41, 0x34), RGB(0x2C, 0x41, 0x3C),
+    RGB(0x2C, 0x41, 0x41), RGB(0x2C, 0x3C, 0x41), RGB(0x2C, 0x34, 0x41), RGB(0x2C, 0x30, 0x41),
+    RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00),
+    RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00), RGB(0x00, 0x00, 0x00)
+};
+
+#endif
+
 static BYTE VgaMemory[VGA_NUM_BANKS * VGA_BANK_SIZE];
 static LPVOID ConsoleFramebuffer = NULL;
 static HANDLE TextConsoleBuffer = NULL;
@@ -98,21 +180,27 @@ static HPALETTE PaletteHandle = NULL;
 static BOOLEAN DoubleVision = FALSE;
 
 static BYTE VgaLatchRegisters[VGA_NUM_BANKS] = {0, 0, 0, 0};
+
 static BYTE VgaMiscRegister;
+static BYTE VgaFeatureRegister;
 
 static BYTE VgaSeqIndex = VGA_SEQ_RESET_REG;
 static BYTE VgaSeqRegisters[VGA_SEQ_MAX_REG];
 
-static BYTE VgaGcIndex = VGA_GC_RESET_REG;
-static BYTE VgaGcRegisters[VGA_GC_MAX_REG];
-
 static BYTE VgaCrtcIndex = VGA_CRTC_HORZ_TOTAL_REG;
 static BYTE VgaCrtcRegisters[VGA_CRTC_MAX_REG];
 
-static BYTE VgaAcIndex = VGA_AC_PAL_0_REG;
+static BYTE VgaGcIndex = VGA_GC_RESET_REG;
+static BYTE VgaGcRegisters[VGA_GC_MAX_REG];
+
 static BOOLEAN VgaAcLatch = FALSE;
+static BOOLEAN VgaAcPalDisable = TRUE;
+static BYTE VgaAcIndex = VGA_AC_PAL_0_REG;
 static BYTE VgaAcRegisters[VGA_AC_MAX_REG];
 
+// static VGA_REGISTERS VgaRegisters;
+
+static BYTE VgaDacMask = 0xFF;
 static WORD VgaDacIndex = 0;
 static BOOLEAN VgaDacReadWrite = FALSE;
 static BYTE VgaDacRegisters[VGA_PALETTE_SIZE];
@@ -124,13 +212,19 @@ static BOOLEAN NeedsUpdate = FALSE;
 static BOOLEAN ModeChanged = TRUE;
 static BOOLEAN CursorMoved = FALSE;
 static BOOLEAN PaletteChanged = FALSE;
-static BOOLEAN TextMode = TRUE;
+
+static
+enum SCREEN_MODE
+{
+    TEXT_MODE,
+    GRAPHICS_MODE
+} ScreenMode = TEXT_MODE;
 
 static SMALL_RECT UpdateRectangle = { 0, 0, 0, 0 };
 
 /* PRIVATE FUNCTIONS **********************************************************/
 
-static inline INT VgaGetAddressSize(VOID)
+static inline DWORD VgaGetAddressSize(VOID)
 {
     if (VgaCrtcRegisters[VGA_CRTC_UNDERLINE_REG] & VGA_CRTC_UNDERLINE_DWORD)
     {
@@ -204,8 +298,6 @@ static inline DWORD VgaTranslateWriteAddress(DWORD Address)
 static inline BYTE VgaTranslateByteForWriting(BYTE Data, BYTE Plane)
 {
     BYTE WriteMode = VgaGcRegisters[VGA_GC_MODE_REG] & 3;
-    BYTE LogicalOperation = (VgaGcRegisters[VGA_GC_ROTATE_REG] >> 3) & 3;
-    BYTE RotateCount = VgaGcRegisters[VGA_GC_ROTATE_REG] & 7;
     BYTE BitMask = VgaGcRegisters[VGA_GC_BITMASK_REG];
 
     if (WriteMode == 1)
@@ -217,6 +309,7 @@ static inline BYTE VgaTranslateByteForWriting(BYTE Data, BYTE Plane)
     if (WriteMode != 2)
     {
         /* Write modes 0 and 3 rotate the data to the right first */
+        BYTE RotateCount = VgaGcRegisters[VGA_GC_ROTATE_REG] & 7;
         Data = LOBYTE(((DWORD)Data >> RotateCount) | ((DWORD)Data << (8 - RotateCount)));
     }
     else
@@ -241,6 +334,8 @@ static inline BYTE VgaTranslateByteForWriting(BYTE Data, BYTE Plane)
     if (WriteMode != 3)
     {
         /* Write modes 0 and 2 then perform a logical operation on the data and latch */
+        BYTE LogicalOperation = (VgaGcRegisters[VGA_GC_ROTATE_REG] >> 3) & 3;
+
         if (LogicalOperation == 1) Data &= VgaLatchRegisters[Plane];
         else if (LogicalOperation == 2) Data |= VgaLatchRegisters[Plane];
         else if (LogicalOperation == 3) Data ^= VgaLatchRegisters[Plane];
@@ -263,8 +358,6 @@ static inline BYTE VgaTranslateByteForWriting(BYTE Data, BYTE Plane)
 
 static inline VOID VgaMarkForUpdate(SHORT Row, SHORT Column)
 {
-    DPRINT("VgaMarkForUpdate: Row %d, Column %d\n", Row, Column);
-
     /* Check if this is the first time the rectangle is updated */
     if (!NeedsUpdate)
     {
@@ -304,7 +397,6 @@ static VOID VgaWriteGc(BYTE Data)
         {
             /* The GC misc register decides if it's text or graphics mode */
             ModeChanged = TRUE;
-
             break;
         }
     }
@@ -326,7 +418,6 @@ static VOID VgaWriteCrtc(BYTE Data)
         {
             /* The video mode has changed */
             ModeChanged = TRUE;
-
             break;
         }
 
@@ -337,7 +428,6 @@ static VOID VgaWriteCrtc(BYTE Data)
         {
             /* Set the cursor moved flag */
             CursorMoved = TRUE;
-
             break;
         }
     }
@@ -360,10 +450,8 @@ static VOID VgaWriteDac(BYTE Data)
     Entry.peBlue = VGA_DAC_TO_COLOR(VgaDacRegisters[PaletteIndex * 3 + 2]);
     Entry.peFlags = 0;
 
-    /* Update the palette entry */
+    /* Update the palette entry and set the palette change flag */
     SetPaletteEntries(PaletteHandle, PaletteIndex, 1, &Entry);
-
-    /* Set the palette change flag */
     PaletteChanged = TRUE;
 
     /* Update the index */
@@ -376,12 +464,21 @@ static VOID VgaWriteAc(BYTE Data)
     ASSERT(VgaAcIndex < VGA_AC_MAX_REG);
 
     /* Save the value */
-    VgaAcRegisters[VgaAcIndex] = Data;
-
     if (VgaAcIndex <= VGA_AC_PAL_F_REG)
     {
-        /* Set the palette change flag */
-        PaletteChanged = TRUE;
+        if (VgaAcPalDisable) return;
+
+        // DbgPrint("    AC Palette Writing %d to index %d\n", Data, VgaAcIndex);
+        if (VgaAcRegisters[VgaAcIndex] != Data)
+        {
+            /* Update the AC register and set the palette change flag */
+            VgaAcRegisters[VgaAcIndex] = Data;
+            PaletteChanged = TRUE;
+        }
+    }
+    else
+    {
+        VgaAcRegisters[VgaAcIndex] = Data;
     }
 }
 
@@ -444,22 +541,30 @@ static BOOL VgaEnterGraphicsMode(PCOORD Resolution)
     LPBITMAPINFO BitmapInfo = (LPBITMAPINFO)BitmapInfoBuffer;
     LPWORD PaletteIndex = (LPWORD)(BitmapInfo->bmiColors);
 
-    if ((Resolution->X < VGA_MINIMUM_WIDTH) && (Resolution->Y < VGA_MINIMUM_HEIGHT))
+    LONG Width  = Resolution->X;
+    LONG Height = Resolution->Y;
+
+    /* Use DoubleVision mode if the resolution is too small */
+    if (Width < VGA_MINIMUM_WIDTH && Height < VGA_MINIMUM_HEIGHT)
     {
         DoubleVision = TRUE;
-        Resolution->X *= 2;
-        Resolution->Y *= 2;
+        Width  *= 2;
+        Height *= 2;
+    }
+    else
+    {
+        DoubleVision = FALSE;
     }
 
     /* Fill the bitmap info header */
     ZeroMemory(&BitmapInfo->bmiHeader, sizeof(BITMAPINFOHEADER));
-    BitmapInfo->bmiHeader.biSize = sizeof(BITMAPINFOHEADER);
-    BitmapInfo->bmiHeader.biWidth = Resolution->X;
-    BitmapInfo->bmiHeader.biHeight = Resolution->Y;
+    BitmapInfo->bmiHeader.biSize   = sizeof(BITMAPINFOHEADER);
+    BitmapInfo->bmiHeader.biWidth  = Width;
+    BitmapInfo->bmiHeader.biHeight = Height;
     BitmapInfo->bmiHeader.biBitCount = 8;
-    BitmapInfo->bmiHeader.biPlanes = 1;
+    BitmapInfo->bmiHeader.biPlanes   = 1;
     BitmapInfo->bmiHeader.biCompression = BI_RGB;
-    BitmapInfo->bmiHeader.biSizeImage = Resolution->X * Resolution->Y /* * 1 == biBitCount / 8 */;
+    BitmapInfo->bmiHeader.biSizeImage   = Width * Height /* * 1 == biBitCount / 8 */;
 
     /* Fill the palette data */
     for (i = 0; i < (VGA_PALETTE_SIZE / 3); i++) PaletteIndex[i] = (WORD)i;
@@ -492,8 +597,8 @@ static BOOL VgaEnterGraphicsMode(PCOORD Resolution)
                       PaletteHandle,
                       SYSPAL_NOSTATIC256);
 
-    /* Clear the text mode flag */
-    TextMode = FALSE;
+    /* Set the screen mode flag */
+    ScreenMode = GRAPHICS_MODE;
 
     return TRUE;
 }
@@ -544,8 +649,8 @@ static BOOL VgaEnterTextMode(PCOORD Resolution)
                       PaletteHandle,
                       SYSPAL_NOSTATIC256);
 
-    /* Set the text mode flag */
-    TextMode = TRUE;
+    /* Set the screen mode flag */
+    ScreenMode = TEXT_MODE;
 
     return TRUE;
 }
@@ -564,7 +669,7 @@ static VOID VgaChangeMode(VOID)
     /* Reset the mode change flag */
     // ModeChanged = FALSE;
 
-    if (!TextMode)
+    if (ScreenMode == GRAPHICS_MODE)
     {
         /* Leave the current graphics mode */
         VgaLeaveGraphicsMode();
@@ -612,9 +717,9 @@ static VOID VgaUpdateFramebuffer(VOID)
 {
     INT i, j, k;
     COORD Resolution = VgaGetDisplayResolution();
-    INT AddressSize = VgaGetAddressSize();
-    DWORD Address = (VgaCrtcRegisters[VGA_CRTC_START_ADDR_HIGH_REG] << 8)
-                    + VgaCrtcRegisters[VGA_CRTC_START_ADDR_LOW_REG];
+    DWORD AddressSize = VgaGetAddressSize();
+    DWORD Address = MAKEWORD(VgaCrtcRegisters[VGA_CRTC_START_ADDR_LOW_REG],
+                             VgaCrtcRegisters[VGA_CRTC_START_ADDR_HIGH_REG]);
     DWORD ScanlineSize = (DWORD)VgaCrtcRegisters[VGA_CRTC_OFFSET_REG] * 2;
 
     /*
@@ -654,7 +759,7 @@ static VOID VgaUpdateFramebuffer(VOID)
                         /* One byte per pixel */
                         PixelData = VgaMemory[(j % VGA_NUM_BANKS) * VGA_BANK_SIZE
                                               + (Address + (j / VGA_NUM_BANKS))
-                                              * AddressSize];
+                                                * AddressSize];
                     }
                     else
                     {
@@ -662,7 +767,7 @@ static VOID VgaUpdateFramebuffer(VOID)
 
                         PixelData = VgaMemory[(j % VGA_NUM_BANKS) * VGA_BANK_SIZE
                                               + (Address + (j / (VGA_NUM_BANKS * 2)))
-                                              * AddressSize];
+                                                * AddressSize];
 
                         /* Check if we should use the highest 4 bits or lowest 4 */
                         if (((j / VGA_NUM_BANKS) % 2) == 0)
@@ -717,10 +822,11 @@ static VOID VgaUpdateFramebuffer(VOID)
                         {
                             /* The data is on plane k, 4 pixels per byte */
                             BYTE PlaneData = VgaMemory[k * VGA_BANK_SIZE
-                                                       + (Address + (j / 4)) * AddressSize];
+                                                       + (Address + (j / VGA_NUM_BANKS))
+                                                         * AddressSize];
 
                             /* The mask of the first bit in the pair */
-                            BYTE BitMask = 1 << (((3 - (j % 4)) * 2) + 1);
+                            BYTE BitMask = 1 << (((3 - (j % VGA_NUM_BANKS)) * 2) + 1);
 
                             /* Bits 0, 1, 2 and 3 come from the first bit of the pair */
                             if (PlaneData & BitMask) PixelData |= 1 << k;
@@ -736,7 +842,8 @@ static VOID VgaUpdateFramebuffer(VOID)
                         for (k = 0; k < VGA_NUM_BANKS; k++)
                         {
                             BYTE PlaneData = VgaMemory[k * VGA_BANK_SIZE
-                                                       + (Address + (j / 8)) * AddressSize];
+                                                       + (Address + (j / (VGA_NUM_BANKS * 2)))
+                                                         * AddressSize];
 
                             /* If the bit on that plane is set, set it */
                             if (PlaneData & (1 << (7 - (j % 8)))) PixelData |= 1 << k;
@@ -746,10 +853,16 @@ static VOID VgaUpdateFramebuffer(VOID)
 
                 if (!(VgaAcRegisters[VGA_AC_CONTROL_REG] & VGA_AC_CONTROL_8BIT))
                 {
-                    /* In 16 color mode, the value is an index to the AC registers */
-                    PixelData = VgaAcRegisters[PixelData];
+                    /*
+                     * In 16 color mode, the value is an index to the AC registers
+                     * if external palette access is disabled, otherwise (in case
+                     * of palette loading) it is a blank pixel.
+                     */
+                    PixelData = (VgaAcPalDisable ? VgaAcRegisters[PixelData & 0x0F]
+                                                 : 0);
                 }
 
+                /* Take into account DoubleVision mode when checking for pixel updates */
                 if (DoubleVision)
                 {
                     /* Now check if the resulting pixel data has changed */
@@ -838,6 +951,9 @@ static VOID VgaUpdateTextCursor(VOID)
     WORD Location = MAKEWORD(VgaCrtcRegisters[VGA_CRTC_CURSOR_LOC_LOW_REG],
                              VgaCrtcRegisters[VGA_CRTC_CURSOR_LOC_HIGH_REG]);
 
+    /* Just return if we are not in text mode */
+    if ((VgaGcRegisters[VGA_GC_MISC_REG] & VGA_GC_MISC_NOALPHA) != 0) return;
+
     if (CursorStart < CursorEnd)
     {
         /* Visible cursor */
@@ -927,9 +1043,17 @@ COORD VgaGetDisplayResolution(VOID)
 VOID VgaRefreshDisplay(VOID)
 {
     HANDLE ConsoleBufferHandle = NULL;
-    COORD Resolution = VgaGetDisplayResolution();
+    COORD Resolution;
 
-    DPRINT("VgaRefreshDisplay\n");
+    /* Set the vertical retrace flag */
+    InVerticalRetrace = TRUE;
+
+    /* If nothing has changed, just return */
+    if (!ModeChanged && !CursorMoved && !PaletteChanged && !NeedsUpdate)
+        return;
+
+    /* Retrieve the current resolution */
+    Resolution = VgaGetDisplayResolution();
 
     /* Change the display mode */
     if (ModeChanged) VgaChangeMode();
@@ -952,9 +1076,6 @@ VOID VgaRefreshDisplay(VOID)
     /* Update the contents of the framebuffer */
     VgaUpdateFramebuffer();
 
-    /* Set the vertical retrace flag */
-    InVerticalRetrace = TRUE;
-
     /* Ignore if there's nothing to update */
     if (!NeedsUpdate) return;
 
@@ -984,12 +1105,12 @@ VOID VgaRefreshDisplay(VOID)
                             &UpdateRectangle);
     }
 
+    /* In DoubleVision mode, scale the update rectangle */
     if (DoubleVision)
     {
-        /* Scale the update rectangle */
         UpdateRectangle.Left *= 2;
-        UpdateRectangle.Top *= 2;
-        UpdateRectangle.Right = UpdateRectangle.Right * 2 + 1;
+        UpdateRectangle.Top  *= 2;
+        UpdateRectangle.Right  = UpdateRectangle.Right  * 2 + 1;
         UpdateRectangle.Bottom = UpdateRectangle.Bottom * 2 + 1;
     }
 
@@ -1011,12 +1132,10 @@ VOID VgaReadMemory(DWORD Address, LPBYTE Buffer, DWORD Size)
     DWORD i;
     DWORD VideoAddress;
 
-    DPRINT("VgaReadMemory: Address 0x%08X, Size %lu\n",
-           Address,
-           Size);
+    DPRINT("VgaReadMemory: Address 0x%08X, Size %lu\n", Address, Size);
 
     /* Ignore if video RAM access is disabled */
-    if (!(VgaMiscRegister & VGA_MISC_RAM_ENABLED)) return;
+    if ((VgaMiscRegister & VGA_MISC_RAM_ENABLED) == 0) return;
 
     /* Loop through each byte */
     for (i = 0; i < Size; i++)
@@ -1039,12 +1158,10 @@ VOID VgaWriteMemory(DWORD Address, LPBYTE Buffer, DWORD Size)
     DWORD i, j;
     DWORD VideoAddress;
 
-    DPRINT("VgaWriteMemory: Address 0x%08X, Size %lu\n",
-           Address,
-           Size);
+    DPRINT("VgaWriteMemory: Address 0x%08X, Size %lu\n", Address, Size);
 
     /* Ignore if video RAM access is disabled */
-    if (!(VgaMiscRegister & VGA_MISC_RAM_ENABLED)) return;
+    if ((VgaMiscRegister & VGA_MISC_RAM_ENABLED) == 0) return;
 
     /* Also ignore if write access to all planes is disabled */
     if ((VgaSeqRegisters[VGA_SEQ_MASK_REG] & 0x0F) == 0x00) return;
@@ -1087,40 +1204,60 @@ VOID VgaWriteMemory(DWORD Address, LPBYTE Buffer, DWORD Size)
 
 BYTE WINAPI VgaReadPort(ULONG Port)
 {
-    DPRINT("VgaReadPort: Port 0x%08X\n", Port);
+    DPRINT("VgaReadPort: Port 0x%X\n", Port);
 
     switch (Port)
     {
-        case VGA_AC_INDEX:
+        case VGA_MISC_READ:
+            return VgaMiscRegister;
+
+        case VGA_INSTAT0_READ:
+            return 0; // Not implemented
+
+        case VGA_INSTAT1_READ_MONO:
+        case VGA_INSTAT1_READ_COLOR:
         {
-            return VgaAcIndex;
+            BYTE Result = 0;
+
+            /* Reset the AC latch */
+            VgaAcLatch = FALSE;
+
+            /* Set a flag if there is a vertical or horizontal retrace */
+            if (InVerticalRetrace || InHorizontalRetrace) Result |= VGA_STAT_DD;
+
+            /* Set an additional flag if there was a vertical retrace */
+            if (InVerticalRetrace) Result |= VGA_STAT_VRETRACE;
+
+            /* Clear the flags */
+            InHorizontalRetrace = InVerticalRetrace = FALSE;
+
+            return Result;
         }
 
+        case VGA_FEATURE_READ:
+            return VgaFeatureRegister;
+
+        case VGA_AC_INDEX:
+            return VgaAcIndex;
+
         case VGA_AC_READ:
-        {
             return VgaAcRegisters[VgaAcIndex];
-        }
 
         case VGA_SEQ_INDEX:
-        {
             return VgaSeqIndex;
-        }
         
         case VGA_SEQ_DATA:
-        {
             return VgaSeqRegisters[VgaSeqIndex];
-        }
+
+        case VGA_DAC_MASK:
+            return VgaDacMask;
 
         case VGA_DAC_READ_INDEX:
-        {
             /* This returns the read/write state */
-            return VgaDacReadWrite ? 0 : 3;
-        }
+            return (VgaDacReadWrite ? 0 : 3);
 
         case VGA_DAC_WRITE_INDEX:
-        {
-            return VgaDacIndex / 3;
-        }
+            return (VgaDacIndex / 3);
 
         case VGA_DAC_DATA:
         {
@@ -1135,67 +1272,94 @@ BYTE WINAPI VgaReadPort(ULONG Port)
             break;
         }
 
-        case VGA_MISC_READ:
-        {
-            return VgaMiscRegister;
-        }
-
-        case VGA_CRTC_INDEX:
-        {
+        case VGA_CRTC_INDEX_MONO:
+        case VGA_CRTC_INDEX_COLOR:
             return VgaCrtcIndex;
-        }
 
-        case VGA_CRTC_DATA:
-        {
+        case VGA_CRTC_DATA_MONO:
+        case VGA_CRTC_DATA_COLOR:
             return VgaCrtcRegisters[VgaCrtcIndex];
-        }
 
         case VGA_GC_INDEX:
-        {
             return VgaGcIndex;
-        }
 
         case VGA_GC_DATA:
-        {
             return VgaGcRegisters[VgaGcIndex];
-        }
-
-        case VGA_STAT_MONO:
-        case VGA_STAT_COLOR:
-        {
-            BYTE Result = 0;
-
-            /* Reset the AC latch */
-            VgaAcLatch = FALSE;
-
-            /* Set a flag if there is a vertical or horizontal retrace */
-            if (InVerticalRetrace || InHorizontalRetrace) Result |= VGA_STAT_DD;
-
-            /* Set an additional flag if there was a vertical retrace */
-            if (InVerticalRetrace) Result |= VGA_STAT_VRETRACE;
-
-            /* Clear the flags */
-            InHorizontalRetrace = InVerticalRetrace = FALSE;
 
-            return Result;
-        }
+        default:
+            DPRINT1("VgaReadPort: Unknown port 0x%X\n", Port);
+            break;
     }
-    
+
     return 0;
 }
 
 VOID WINAPI VgaWritePort(ULONG Port, BYTE Data)
 {
-    DPRINT("VgaWritePort: Port 0x%08X, Data 0x%02X\n", Port, Data);
+    DPRINT("VgaWritePort: Port 0x%X, Data 0x%02X\n", Port, Data);
 
     switch (Port)
     {
+        case VGA_MISC_WRITE:
+        {
+            VgaMiscRegister = Data;
+
+            if (VgaMiscRegister & 0x01)
+            {
+                /* Color emulation */
+                DPRINT1("Color emulation\n");
+
+                /* Register the new I/O Ports */
+                RegisterIoPort(0x3D4, VgaReadPort, VgaWritePort);   // VGA_CRTC_INDEX_COLOR
+                RegisterIoPort(0x3D5, VgaReadPort, VgaWritePort);   // VGA_CRTC_DATA_COLOR
+                RegisterIoPort(0x3DA, VgaReadPort, VgaWritePort);   // VGA_INSTAT1_READ_COLOR, VGA_FEATURE_WRITE_COLOR
+
+                /* Unregister the old ones */
+                UnregisterIoPort(0x3B4);    // VGA_CRTC_INDEX_MONO
+                UnregisterIoPort(0x3B5);    // VGA_CRTC_DATA_MONO
+                UnregisterIoPort(0x3BA);    // VGA_INSTAT1_READ_MONO, VGA_FEATURE_WRITE_MONO
+            }
+            else
+            {
+                /* Monochrome emulation */
+                DPRINT1("Monochrome emulation\n");
+
+                /* Register the new I/O Ports */
+                RegisterIoPort(0x3B4, VgaReadPort, VgaWritePort);   // VGA_CRTC_INDEX_MONO
+                RegisterIoPort(0x3B5, VgaReadPort, VgaWritePort);   // VGA_CRTC_DATA_MONO
+                RegisterIoPort(0x3BA, VgaReadPort, VgaWritePort);   // VGA_INSTAT1_READ_MONO, VGA_FEATURE_WRITE_MONO
+
+                /* Unregister the old ones */
+                UnregisterIoPort(0x3D4);    // VGA_CRTC_INDEX_COLOR
+                UnregisterIoPort(0x3D5);    // VGA_CRTC_DATA_COLOR
+                UnregisterIoPort(0x3DA);    // VGA_INSTAT1_READ_COLOR, VGA_FEATURE_WRITE_COLOR
+            }
+
+            // if (VgaMiscRegister & 0x02) { /* Enable RAM access */ } else { /* Disable RAM access */ }
+            break;
+        }
+
+        case VGA_FEATURE_WRITE_MONO:
+        case VGA_FEATURE_WRITE_COLOR:
+        {
+            VgaFeatureRegister = Data;
+            break;
+        }
+
         case VGA_AC_INDEX:
+        // case VGA_AC_WRITE:
         {
             if (!VgaAcLatch)
             {
                 /* Change the index */
-                if (Data < VGA_AC_MAX_REG) VgaAcIndex = Data;
+                BYTE Index = Data & 0x1F;
+                if (Index < VGA_AC_MAX_REG) VgaAcIndex = Index;
+
+                /*
+                 * Change palette protection by checking for
+                 * the Palette Address Source bit.
+                 */
+                VgaAcPalDisable = (Data & 0x20) ? TRUE : FALSE;
             }
             else
             {
@@ -1205,7 +1369,6 @@ VOID WINAPI VgaWritePort(ULONG Port, BYTE Data)
 
             /* Toggle the latch */
             VgaAcLatch = !VgaAcLatch;
-
             break;
         }
 
@@ -1223,6 +1386,12 @@ VOID WINAPI VgaWritePort(ULONG Port, BYTE Data)
             break;
         }
 
+        case VGA_DAC_MASK:
+        {
+            VgaDacMask = Data;
+            break;
+        }
+
         case VGA_DAC_READ_INDEX:
         {
             VgaDacReadWrite = FALSE;
@@ -1244,20 +1413,16 @@ VOID WINAPI VgaWritePort(ULONG Port, BYTE Data)
             break;
         }
 
-        case VGA_MISC_WRITE:
-        {
-            VgaMiscRegister = Data;
-            break;
-        }
-
-        case VGA_CRTC_INDEX:
+        case VGA_CRTC_INDEX_MONO:
+        case VGA_CRTC_INDEX_COLOR:
         {
             /* Set the CRTC index register */
             if (Data < VGA_CRTC_MAX_REG) VgaCrtcIndex = Data;
             break;
         }
 
-        case VGA_CRTC_DATA:
+        case VGA_CRTC_DATA_MONO:
+        case VGA_CRTC_DATA_COLOR:
         {
             /* Call the CRTC function */
             VgaWriteCrtc(Data);
@@ -1277,6 +1442,10 @@ VOID WINAPI VgaWritePort(ULONG Port, BYTE Data)
             VgaWriteGc(Data);
             break;
         }
+
+        default:
+            DPRINT1("VgaWritePort: Unknown port 0x%X\n", Port);
+            break;
     }
 }
 
@@ -1291,7 +1460,6 @@ VOID VgaResetPalette(VOID)
 
     /* Restore the default palette */
     VgaRestoreDefaultPalette(Entries, VGA_MAX_COLORS);
-
     SetPaletteEntries(PaletteHandle, 0, VGA_MAX_COLORS, Entries);
     PaletteChanged = TRUE;
 }
@@ -1300,7 +1468,7 @@ BOOLEAN VgaInitialize(HANDLE TextHandle)
 {
     INT i, j;
     COORD Resolution;
-    INT AddressSize;
+    DWORD AddressSize;
     DWORD ScanlineSize;
     COORD Origin = { 0, 0 };
     SMALL_RECT ScreenRect;
@@ -1310,10 +1478,26 @@ BOOLEAN VgaInitialize(HANDLE TextHandle)
 
     /* Initialize the VGA palette and fail if it isn't successfully created */
     if (!VgaInitializePalette()) return FALSE;
+    /***/ VgaResetPalette(); /***/
 
     /* Set the global handle */
     TextConsoleBuffer = TextHandle;
 
+    /* Register the I/O Ports */
+    RegisterIoPort(0x3CC, VgaReadPort, NULL);           // VGA_MISC_READ
+    RegisterIoPort(0x3C2, VgaReadPort, VgaWritePort);   // VGA_MISC_WRITE, VGA_INSTAT0_READ
+    RegisterIoPort(0x3CA, VgaReadPort, NULL);           // VGA_FEATURE_READ
+    RegisterIoPort(0x3C0, VgaReadPort, VgaWritePort);   // VGA_AC_INDEX, VGA_AC_WRITE
+    RegisterIoPort(0x3C1, VgaReadPort, NULL);           // VGA_AC_READ
+    RegisterIoPort(0x3C4, VgaReadPort, VgaWritePort);   // VGA_SEQ_INDEX
+    RegisterIoPort(0x3C5, VgaReadPort, VgaWritePort);   // VGA_SEQ_DATA
+    RegisterIoPort(0x3C6, VgaReadPort, VgaWritePort);   // VGA_DAC_MASK
+    RegisterIoPort(0x3C7, VgaReadPort, VgaWritePort);   // VGA_DAC_READ_INDEX
+    RegisterIoPort(0x3C8, VgaReadPort, VgaWritePort);   // VGA_DAC_WRITE_INDEX
+    RegisterIoPort(0x3C9, VgaReadPort, VgaWritePort);   // VGA_DAC_DATA
+    RegisterIoPort(0x3CE, VgaReadPort, VgaWritePort);   // VGA_GC_INDEX
+    RegisterIoPort(0x3CF, VgaReadPort, VgaWritePort);   // VGA_GC_DATA
+
     /* Clear the VGA memory */
     VgaClearMemory();
 
@@ -1356,23 +1540,6 @@ BOOLEAN VgaInitialize(HANDLE TextHandle)
         Address += ScanlineSize;
     }
 
-    /* Register the I/O Ports */
-    RegisterIoPort(VGA_AC_WRITE , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_AC_READ  , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_SEQ_INDEX, VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_SEQ_DATA , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_DAC_READ_INDEX , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_DAC_WRITE_INDEX, VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_DAC_DATA  , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_MISC_READ , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_MISC_WRITE, VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_CRTC_INDEX, VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_CRTC_DATA , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_GC_INDEX, VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_GC_DATA , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_STAT_MONO , VgaReadPort, VgaWritePort);
-    RegisterIoPort(VGA_STAT_COLOR, VgaReadPort, VgaWritePort);
-
     /* Return success */
     return TRUE;
 }